home *** CD-ROM | disk | FTP | other *** search
/ PC World Komputer 2010 April / PCWorld0410.iso / redakcyjne / programy / PSPad editor 4.5.4 build 2356 beta / pspad454inst_en.exe / {app} / Syntax / Verilog.INI < prev    next >
INI File  |  2008-02-15  |  3KB  |  244 lines

  1. ;PSPad user HighLighter definition file
  2. [Settings]
  3. Name=Verilog
  4. HTMLGroup=0
  5. Label=1
  6. FileType=*.v,*.vlog,*.h,*.vlib
  7. CommentString=//
  8. SlashComment=1
  9. CComment=1
  10. SlashComment=1
  11. Preprocessors=1
  12. IndentChar=
  13. UnIndentChar=
  14. TabWidth=2
  15. CaseSensitive=1
  16. DoubleQuote=1
  17. KeyWordChars=`$
  18. CodeExplorer=ftVerilog
  19. [KeyWords]
  20. always=
  21. and=
  22. assign=
  23. attribute=
  24. automatic=
  25. begin=
  26. buf=
  27. bufif0=
  28. bufif1=
  29. case=
  30. casex=
  31. casez=
  32. cmos=
  33. deassign=
  34. default=
  35. defparam=
  36. disable=
  37. edge=
  38. else=
  39. end=
  40. endattribute=
  41. endcase=
  42. endfunction=
  43. endgenerate=
  44. endmodule=
  45. endprimitive=
  46. endspecify=
  47. endtable=
  48. endtask=
  49. event=
  50. for=
  51. force=
  52. forever=
  53. fork=
  54. function=
  55. generate=
  56. genvar=
  57. highz0=
  58. highz1=
  59. if=
  60. ifnone=
  61. initial=
  62. inout=
  63. input=
  64. integer=
  65. join=
  66. large=
  67. localparam=
  68. macromodule=
  69. medium=
  70. module=
  71. nand=
  72. negedge=
  73. nmos=
  74. nor=
  75. not=
  76. notif0=
  77. notif1=
  78. or=
  79. output=
  80. parameter=
  81. pmos=
  82. posedge=
  83. primitive=
  84. pull0=
  85. pull1=
  86. pulldown=
  87. pullup=
  88. rcmos=
  89. real=
  90. realtime=
  91. reg=
  92. release=
  93. repeat=
  94. rnmos=
  95. rpmos=
  96. rtran=
  97. rtranif0=
  98. rtranif1=
  99. scalared=
  100. signed=
  101. small=
  102. specify=
  103. specparam=
  104. strength=
  105. strong0=
  106. strong1=
  107. supply0=
  108. supply1=
  109. table=
  110. task=
  111. time=
  112. tran=
  113. tranif0=
  114. tranif1=
  115. tri=
  116. tri0=
  117. tri1=
  118. triand=
  119. trior=
  120. trireg=
  121. unsigned=
  122. vectored=
  123. wait=
  124. wand=
  125. weak0=
  126. weak1=
  127. while=
  128. wire=
  129. wor=
  130. xnor=
  131. xor=
  132. [ReservedWords]
  133. `celldefine=
  134. `default_nettype=
  135. `define=
  136. `else=
  137. `endcelldefine=
  138. `endif=
  139. `if=
  140. `ifdef=
  141. `ifndef=
  142. `include=
  143. `nounconnected_drive=
  144. `resetall=
  145. `timescale=
  146. `unconnected_drive=
  147. `undef=
  148. [KeyWords2]
  149. $and=
  150. $array=
  151. $async=
  152. $bitstoreal=
  153. $comment=
  154. $date=
  155. $display=
  156. $displayb=
  157. $displayh=
  158. $displayo=
  159. $dist_chi_square=
  160. $dist_erlang=
  161. $dist_exponential=
  162. $dist_nornal=
  163. $dist_poisson=
  164. $dist_t=
  165. $dist_uniform=
  166. $dumpall=
  167. $dumpfile=
  168. $dumpoff=
  169. $dumpon=
  170. $dumpvars=
  171. $enddefinitions=
  172. $fclose=
  173. $fdisplay=
  174. $fdisplayb=
  175. $fdisplayh=
  176. $fdisplayo=
  177. $finish=
  178. $fmonitor=
  179. $fmonitorb=
  180. $fmonitorh=
  181. $fmonitoro=
  182. $fopen=
  183. $fstrobe=
  184. $fstrobeb=
  185. $fstrobeh=
  186. $fstrobeo=
  187. $fwrite=
  188. $fwriteb=
  189. $fwriteh=
  190. $fwriteo=
  191. $hold=
  192. $itor=
  193. $monitor=
  194. $monitorb=
  195. $monitorh=
  196. $monitoro=
  197. $monitoroff=
  198. $monitoron=
  199. $nand=
  200. $nochange=
  201. $nor=
  202. $or=
  203. $period=
  204. $plane=
  205. $printtimescale=
  206. $q_add=
  207. $q_exam=
  208. $q_full=
  209. $q_initialize=
  210. $q_random=
  211. $q_remove=
  212. $random=
  213. $readmemb=
  214. $readmemh=
  215. $readtobits=
  216. $realtime=
  217. $recovery=
  218. $rtoi=
  219. $scope=
  220. $setup=
  221. $setuphold=
  222. $skew=
  223. $stime=
  224. $stop=
  225. $strobe=
  226. $strobeb=
  227. $strobeh=
  228. $strobeo=
  229. $sync=
  230. $time=
  231. $timeformat=
  232. $timescale=
  233. $upscope=
  234. $var=
  235. $version=
  236. $width=
  237. $write=
  238. $writeb=
  239. $writeh=
  240. $writeo=
  241. [KeyWords3]
  242. -=
  243. `=
  244. ~=